• exec/load/fido_syscfg.js

    From rswindell@VERT to CVS commit on Sat Mar 31 15:21:00 2018
    exec/load fido_syscfg.js 1.14 1.15
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv22567

    Modified Files:
    fido_syscfg.js
    Log Message:
    Fixed some typos.
    Added node address to some authentication failure warnings.



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From rswindell@VERT to CVS commit on Sat Mar 31 17:24:00 2018
    exec/load fido_syscfg.js 1.15 1.16
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv16507

    Modified Files:
    fido_syscfg.js
    Log Message:
    Fix the default domain ('fidonet', zones 1-6) feature when there are no
    domains configured in sbbsecho.ini
    ('domains' is always undefined at this point, <shrug>).



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From rswindell@VERT to CVS commit on Sat Mar 31 19:55:00 2018
    exec/load fido_syscfg.js 1.16 1.17
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv8045

    Modified Files:
    fido_syscfg.js
    Log Message:
    Fix bug in previous commit (use of Object.keys) which caused the default domain/zone-map configuration to be used - always.



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From deuce@VERT to CVS commit on Thu Aug 9 09:08:00 2018
    exec/load fido_syscfg.js 1.18 1.19
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv672/load

    Modified Files:
    fido_syscfg.js
    Log Message:
    Make ecfg a local variable, not a global one.




    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From rswindell@VERT to CVS commit on Sun Aug 26 10:10:00 2018
    exec/load fido_syscfg.js 1.19 1.20
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv15486

    Modified Files:
    fido_syscfg.js
    Log Message:
    Nelgin's change:
    it should fix the issue of tic files being generated with @domain added to
    the From: line and putting tickit into an endless loop.



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From echicken@VERT to CVS commit on Fri Nov 2 06:49:00 2018
    exec/load fido_syscfg.js 1.21 1.22
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv10796

    Modified Files:
    fido_syscfg.js
    Log Message:
    Throw an error if the given 'node' doesn't pass fidoaddr.js' is_valid(). Certain strings could cause infinite loops otherwise.
    (See previous commit, I was having CVS problems.)



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From rswindell@VERT to CVS commit on Wed Jul 24 11:00:07 2019
    exec/load fido_syscfg.js 1.22 1.23
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv25243

    Modified Files:
    fido_syscfg.js
    Log Message:
    Added inbox and outbox key parsing from sbbsecho.ini.
    I've been sitting on this uncomitted changed for a while, likely needed for BinkIt outbox support (oops).



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From rswindell@VERT to CVS commit on Sat May 16 09:10:20 2020
    exec/load fido_syscfg.js 1.23 1.24
    Update of /cvsroot/sbbs/exec/load
    In directory cvs:/tmp/cvs-serv24386

    Modified Files:
    fido_syscfg.js
    Log Message:
    For Ragnarok, include the linked-nodes' direct/status configuration values
    in the SBBSEchoCfg object, for use with tickit.js.



    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From Rob Swindell@VERT to Git commit to main/sbbs/master on Tue Dec 22 14:07:58 2020
    https://gitlab.synchro.net/main/sbbs/-/commit/3752930e61c05055f58a6792
    Modified Files:
    exec/load/fido_syscfg.js
    Log Message:
    Log the error number when failing to open sbbsecho.ini

    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net
  • From Rob Swindell@VERT to Git commit to main/sbbs/master on Fri Mar 19 15:05:16 2021
    https://gitlab.synchro.net/main/sbbs/-/commit/6aade458c2adb47e745c92d1
    Modified Files:
    exec/load/fido_syscfg.js
    Log Message:
    Refactor the get_pw() and get_ticpw() methods

    First look for a linked node matching the node address string as passed,
    then look for a match using the normalized address (removing .0 and @domain). The "ALL" wildcard should not be used for password lookups.

    This should fix reported issue 240.

    ---
    þ Synchronet þ Vertrauen þ Home of Synchronet þ [vert/cvs/bbs].synchro.net